hdb3码建模设计

来源:推荐阅读 时间:2016-09-27 10:18:43 阅读:

【www.zhuodaoren.com--推荐阅读】

hdb3码建模设计(一)
hdb3码的仿真和实现

系 别专 业班 级姓 名指 导 老师完 成 时间

HEFEI UNIVERSITY

课程设计

电子信息与电气工程系 通信工程 级(1)班 王喜 潘飞 王溯 张 倩 年11月26日

09 2012

目录

目录................................................................................................................................. 2 一、课程设计目的............................................................................................................ 3 二、 课程设计内容 .......................................................................................................... 3

1、课程设计的题目及简介 ........................................................................................ 3 2、 设计说明 ........................................................................................................... 3

1、任务安排 ...................................................................................................... 3

2、编码规则 ...................................................................................................... 4

3、程序流程 ...................................................................................................... 4

三、仿真结果................................................................................................................... 8 四、课程设计总结............................................................................................................ 9 五、参考文献................................................................................................................... 9 附录................................................................................................................................10

HDB3编码的仿真与实现

一、课程设计目的

1、了解HDB3编码原理;

2、对HDB3编码进行仿真模型设计;

3、运用MATLAB软件或硬件描述语言对HDB3编码进行设计,并对各模块进行分析、仿真与验证;

4、要求有系统框图,电路原理图,软件流程图,模拟仿真结果图。

二、课程设计内容

1、课程设计的题目及简介

题目:HDB3编码的仿真与实现

2、设计说明 1、任务安排

根据要求,完成本次设计使用的工具有:计算机、MATLAB软

件或者Quartus II软件,本次选择MATLAB软件。计划需要3人:1人主要进行HDB3编码仿真模型设计;1人用MATLAB仿真实现;

1人分析HDB3编码用硬件 描述语言的实现方案

2、编码规则

1) 先将消息代码变换成AMI码,若AMI码中连0的个数小于4,此时的AMI码就是HDB3码;

2) 若AMI码中连0的个数大于3,则将每4个连0小段的第4个0变换成与前一个非0符号(+1或-1)同极性的符号,用表示(+1+,-1-); 3) 为了不破坏极性交替反转,当相邻符号之间有偶数个非0符号时,再将该小段的第1个0变换成+B或-B,符号的极性与前一非零符号的相反,并让后面的非零符号从符号开始再交替变化。 例如:

消息代码: 1 0 0 0 0 1 0 0 0 0 1 1 0 0 0 0 1 1 AMI码: +1 0 0 0 0 -1 0 0 0 0 +1 -1 0 0 0 0 +1 -1 HDB3码:+1 0 0 0 +V -1 0 0 0 -V +1 -1 +B 0 0 +V -1 +1

3、程序流程

hdb3码建模设计(二)
数字基带信号HDB3译码器设计与建模

课程设计

课程名称 通信系统原理课程设计

信息工程学院

电子信息工程

电信1301班

秦子越

苏杨 学院 专业

班级 姓名 指导教师

2015——2016学年第1学期

课程设计任务书

学生姓名:秦子越专业班级:电信1301班 指导教师:苏杨工作单位:武汉理工大学

题目: 数字基带信号HDB3译码器设计与建模

初始条件:

(1)MAX PLUSII、Quartus II、ISE等软件;

(2)课程设计辅导书:《通信原理课程设计指导》

(3)先修课程:数字电子技术、模拟电子技术、电子设计EDA、通信原理。

要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明

书撰写等具体要求)

(1)课程设计时间:第17周;

(2)课程设计题目:数字基带信号HDB3译码器设计与建模;

(3)本课程设计统一技术要求:按照要求对的题目进行逻辑分析,了解HDB3

译码器译码原理,了解各模块电路的逻辑功能,设计通信系统框图,画出实现电

路原理图,编写VHDL语言程序,上机调试、仿真,记录实验结果波形,对实

验结果进行分析;

(4)课程设计说明书按学校“课程设计工作规范”中的“统一书写格式”撰写,并

标明参考文献(至少5篇);

(5)写出本次课程设计的心得体会(至少500字)。

时间安排:第17周

参考文献:段吉海.数字通信系统建模与设计.北京:电子工业出版社,2004

江国强.EDA技术与应用. 北京:电子工业出版社,2010【hdb3码建模设计】

John G. Proakis.Digital Communications. 北京:电子工业出版社,2011

指导教师签名:年月日

系主任(或责任教师)签名:年月日

目录

摘要................................................................ 1

Abstract............................................................ 2

1.设计要求及思路................................................... 3

1.1设计要求 .................................................... 3

1.2设计思路 .................................................... 3

2.设计原理及方案................................................... 4

2.1 译码器译码原理.............................................. 4

2.2各模块电路的逻辑功能 ........................................ 4

2.2.1 系统框图.............................................. 4

2.2.2 V 码检测模块的建模:.................................. 4

2.2.3 扣 V扣 B模块建模:.................................... 5

2.3 VHDL语言程序 ............................................... 6

2.4 电路原理图.................................................. 8

2.4.1 译码器电路............................................ 8

2.4.2 单/双极性转换电路..................................... 9

2.4.3 编码器电路........................................... 10

2.4.4 总体电路图显示....................................... 10

3.上机调试及仿真.................................................. 12

4.实验结果及分析.................................................. 14

4.1 实验结果................................................... 14

4.2 实验结果分析............................................... 14

5.心得体会........................................................ 16

参考文献........................................................... 17

附录............................................................... 18

附录1:编码程序 ............................................... 18【hdb3码建模设计】

附录2:双单极性转换程序 ....................................... 23

附录3:译码程序 ............................................... 24

本科生课程设计成绩评定表........................................... 27

摘要

在数字基带信号传输中,HDB3码(三阶高密度双极性码)是常用的传输码型之一。本设计针对数字基带传输系统中HDB3码的特点,将编码器分成三个部分:插V模块、插B模块、单极性变双极性模块来实现HBD3的编码输出。在编码的过程中,主要利用移位寄存器和计数器来实现整个插V插B的过程,并且,双相码的引入为准确识别“V”、“B”、“+1”、“-V”、“-B”、“-1”码提供了可能。而译码器设计的过程中,通过用 V 码检测模块所检测出的 V 码信号,去控制一个移位寄存器,以实现V码的检测和译码输出。最后,在QuartusⅡ开发环境中,采用VHDL语言设计对每个模块进行仿真,实现相应功能再进行整体仿真。【hdb3码建模设计】

关键字:数字基带传输HDB3码译码VHDL语言

Abstract

In the digital baseband signal transmission, HDB3 code (the third order high density bipolar codes) is one of the commonly used transmission type. This design aiming at the characteristics of HDB3 code in digital baseband transmission system, the encoder is divided into three parts: V module, interpolation module B, single polarity dual polarity change module to realize the HBD3 code output. In the process of coding, the main shift register and the counter is used to realize the whole process of plug V plug B, and the introduction of the bipolar codes for accurate identification of "V", "B", "+ 1", "V", "B", "1". And decoder in the process of design, by using the V code detection module to detect the V code signal, to control a shift register, in order to realize the V code detection and decoding output. Finally, in the Quartus Ⅱ development environment, design of each module are simulated using VHDL language, realize the corresponding function and then to the whole simulation.

Key words: digital baseband transmission HDB3 code Decoding VHDL language

hdb3码建模设计(三)
HDB3码编译码软件设计

*******************

创新课程

*******************

兰州理工大学

计算机与通信学院

2013年秋季学期

通信技术创新课程设计

题 目:HDB3码编译码软件设计

专业班级:

姓 名:

学 号:

指导教师:

成 绩:

摘要

数字信号的传输方式按其在传输中对应的信号的不同可分为数字基带传输系统和数字频带传输系统。在数字通信中,选择合适在信道中传输的码型是十分重要的,HDB3码(三阶高密度码)是比较常用的信道传输码型, HDB3码具有较强的检错能力,当数据序列用HDB3码传输时,若传输过程中出现单个误码,其极性交替变化规律将受到破坏,因而在接收端根据HDB3码这一独特规律特性,可检出错误并纠正错误,同时HDB3码方便提取位定时信息。本实验的设计思路是:将数字基带信号经过码型变换进行编码,将形成的码型经过CEC编码得到一个码型结果,进行对比;接下来进行HDB3码编码,输出码型,在与未进行HDB3编码码型进行比较;再对HDB3码加入高斯白噪声进行编码,再与HDB3码进行比较,再将未加噪声的HDB3码译码,输出译码码型;最后,再对HDB3译码加入高斯白噪声,输出码型,形成一个系统,进行比较分析,得出结果。

关键词:三阶高密度码;基带传输系统;检错能力

目录

摘要 .................................................................................................................................................. 1

一、设计任务及要求 ....................................................................................................................... 3

二、设计原理 ................................................................................................................................... 4

2.1 HDB3码的编码和译码 .................................................................................................... 4

2.2数字基带传输系统: ........................................................................................................ 5

三 MATLAB仿真软件 ...................................................................................................................... 8

3.1 Matlab的优势和特点 ...................................................................................................... 8

3.2基本功能 ............................................................................................................................ 9

3.3基本应用 ............................................................................................................................ 9

四 、基带传输系统特性研究 ....................................................................................................... 11

4.1 HDB3基带传输系统设计方案 ........................................................................................ 11

4.2仿真结果 .......................................................................................................................... 11

参考文献......................................................................................................................................... 15

总结与致谢..................................................................................................................................... 16

附录 ................................................................................................................................................ 17

一、设计任务及要求

利用C语言或者其他自己熟悉的语言编程实现HDB3码的编码和译码。完成如下工作:

1 熟悉HDB3码的编码和译码的规则;

2 掌握编程语言C语言或者其他自己熟悉的语言;

3 根据HDB3码的编码和译码的原理设计编码和译码软件;

4 分析软件的可行性。

通过该课程设计,可以提高学生综合应用所学基础知识的能力和计算机编程的能力,为今后的学习和工作积累经验。

二、设计原理

2.1 HDB3码的编码和译码

编码规则:

1) 先将消息代码变换成AMI码,若AMI码中连0的个数小于4,此时的AMI码就是HDB3码;

2) 若AMI码中连0的个数大于3,则将每4个连0小段的第4个0变换成

与前一个非0符号(+1或-1)同极性的符号,用表示(+1+,-1-);

3) 为了不破坏极性交替反转,当相邻符号之间有偶数个非0符号时,再将

该小段的第1个0变换成+B或-B,符号的极性与前一非零符号的相反,并让后面的非零符号从符号开始再交替变化。

例如:

消息代码: 1 0 0 0 0 1 0 0 0 0 1 1 0 0 0 0 1 1

AMI码: +1 0 0 0 0 -1 0 0 0 0 +1 -1 0 0 0 0 +1 -1

HDB3码:+1 0 0 0 +V -1 0 0 0 -V +1 -1 +B 0 0 +V -1 +1 简易编码方法:

原理:HDB3码既要包含AMI的交替特性使输出无直流特性,又要不出现四个

以上的连0,因此可以先满足后者。

1)把"0000"换为取代节。

规则:先将"0000"分离开来,第一个"0000"直接变为"000V",然后数相邻两个"0000"之间"1"的个数,奇数则变为"000V",偶数则变为" B00V"。

2)更新符号。

根据教材有:B总是与其前面的1或V符号相反,V总是与前面的1或B相符号相同,1总是与前面的V或B符号相反,就可以编符号了。

例如:

消息代码:1 0 0 0 0 1 0 0 0 0 1 1 0 0 0 0 1 1 0 0 0 0 0 0 0 0 1 1 1 0 0 0 0 1

中间码: 1 0 0 0 V 1 0 0 0 V 1 1 B 0 0 V 1 1 B 0 0 V B 0 0 V 1 1 1 0 0 0 V 1

HDB3码:+1 0 0 0 +V -1 0 0 0 -V +1 -1 +B 0 0 + V -1 +1 -B 0 0 -V +B

hdb3码建模设计(四)
数字通信实验软件平台的GUI设计

  引言:此次软件平台的设计包括编码和调制解调两个方向,Matlab交互界面以及数字通信系统的原理是辅助其实现的基础,设计后的软件可以在启动时清楚的展现整个通信系统的情况。在课堂讲解时,不仅能方便学生快速掌握知识,还能让学生在课堂上即兴修改知识点,这样不仅便于学生掌握基础知识,还能帮助学生不断拓展。Matlab教育界面的优点就是有很好的移动性和拓展性,为了便于学生学习,每个模块可以分离出来形成单独的个体,这样方便学生们研究加深学习印象,最后每个单独的个体还可以组合成为原来的整体,成为一个强大的综合数字系统。这样的软件平台对于学生从宏观到微观的学习都很有好处。

  一、传统数字通信原理实验现状
  (一)数字通信原理硬件实验平台。1.采样基础及采样编码类实验。包括:脉冲幅度调制、脉冲编码调制、自适应差分脉冲编码调制和连续可变斜率增量调制实验。2.调制解调类实验。包括:移频键控、二进制移相键控、差分二进制相移键控、最小移频键控、高斯最小频移键控、正交相移键控、偏移四相相移键控和正交幅度调制实验。3.编码解码类实验。包括:汉明码实验、加扰码实验、传号交替反转码/三阶高密度双极性码码型变换和传号反转码码型变换实验。4.接口类实验。包括:用户环路接口实验、双音多频检测实验、RS442接口实验和RS232接口实验。5.系统性实验。包括:IS95扩频系统Walsh码特性测量、IS95(CDMA/DS)系统信号传输实验和移动衰落信道通信系统综合测试。
  (二)数字通信原理硬件实验平台面临的问题。前面所提到的实验平台集多种功能于一体,包括对无线通信常用的技术教学,帮助学生时间,里面涵盖了数字通信的原理已经当前最现代的技术技能,此实验平台系统结合了数字通信硬件平台的原理、技术、实践多方面,可以说实用又简便,虽然现在硬件平台凸显很多优点,但是还面临很多问题需要改进实验平台。1.在实验时,试验箱中的专有模拟芯片,虽然根据数字通信原理设计,但是由于设计效果欠佳,模拟锁相环实验时方法比较老,所以模拟芯片的电路时常有受损情况。2.在数字调制实验数字实验箱链接的处理方式通常是很模糊的,特别是解调和同步算法的结果不能被测试和评价这个问题,使学生按照规定的顺序操作得到的仅仅是实验结论,并没办法进一步的研究的内部机理。3.这个硬件平台受限于模拟芯片的容量和处理分析能力,内容和实践具体步骤受限,发展空间也有一定的局限性,对学生的创新能力的发挥有很大影响。4.由于这个数字硬件实验平台的相关部件比较容易受损,这也导致实验成本升高。针对以上陈述,本文利用Matlab的GUI工具,将用于用于数字通信原理实验软件平台和数字通信原理有机结合,总之,硬件平台的发展,对于提高学生的自主设计能力,理论与实践相结合,以及提高数字通信理论课程的教学效果有很大帮助。
  二、数字通信实验软件平台设计
  (一)数字通信实验软件平台系统。此次根据数字通信原理设计的软件平台既有发送装置,又有接收装置,分为这两个部分。根据图1我们可以看出,此软件平台有几个构成部分。被虚线框住的软件部分代表的是软件平台增加的扩展部分。整个平台系统分为以下一个部分:采样、量化、编码、重构。编码和调制就是我们常用的信道编码和调制,这样不仅可以在软件平台上实现功能,还能在硬件平台上实现调制实验和信道编码。在这些模块都完备以后,我们还要完善其他子模块,以便于各项数据可以在一个模块流转到下一模块,图中接收端没有展开探讨是因为接收端是发送端的逆过程,所以不予考虑。系统在具体应用调试时,还要不断修改和完善。
  图1 数字通信原理软件实验平台系统框图
  (二)数字通信实验软件平台。软件实验平台采用了Matlab软件中的GUI工具,数字通信原理软件实验平台系统界面见图2。
  图2 数字通信原理软件实验平台系统界面
  由图2可知,Matlab软件中的GUI工具也有图1中的接受和发送部分,除此之外,还有数字通信软件平台的参数和显示,这些方面最终以时频域的方式表现出来,显示运行结果。
  在Matlab软件中还有相对应的存储模块来存放通信信号以及临时通信信号,在数字通信实验软件平台中发送信号要经历采集样本、输入序码,以及整个数字信道的编码和数字调制的全过程,在采集样本时要实现将信号化为数字数列这样的形式,只有转变成为数字序列,才能将其存入文件;输入序码指的就是文件中抽取序码读取;信道编码就是仿真常见的编码方式,比如CRC码和卷积码,以此进行差错控制;数字调制采用的不同进制的调制方法,调制后会将信号绘制成波形或功率谱,主要运用的进制方法有ASK、FSK、PSK或者MSK等等;扩频指的是对载波的调制方式,主要是运用高速伪随机的序列方式,因为他的速率远远大于原来我们说的元新号码的速率,方便信号的充分扩展。他的优点就是可以预防被窃听,具备一定的防干扰能力。由于每个用户拥有不同的扩谱码,所以可以将相互正交的扩谱码做为根据,这样就将移动通信系统和数字通信原理、DS-CDMA原理很好的糅合在一起,运用相关技术,将先进技术融入到现在的软件平台中,每个用户可以用同一个频带。接收装置是对发送信号的仿真,引入了高斯白噪声的过程,也是以波形和功率谱的形式绘制出来。
  三、数字通信实验软件平台实现
  (一)数字通信实验软件平台验证。在进行数字通信实验软件平台验证的时候,要根据图一的整体构造对模块编程,软件实验平台的功能更加完善,比硬件平台的功能更加完善,也能实现大部分实验功能,在同一时间,有更多的扩展,如AMI/ CMI / HDB3编码部分和汉明码的编码和调制部分,BFSK,D /BASK调制技术也是一种可以实现硬件实验平台的技术。因此,操作和测试对于波形的硬件平台和软件平台是可以比较的。图3是FSK图形示波器测试和实际使用的GUI工具硬件平台的比较。
  图3 软件仿真与实测对比(以FSK调制为例)   编码、调制、扩频3个主要环节都进行了有效扩展:其中编码环节增加了奇偶校验码、循环码、BCH码、RS码、卷积码、Turbo码;调制环节增加了16QaM和256QaM;扩频环节增加了扩频码设计。
  (二)数字通信实验软件平台各模块。为了让学生更加清晰掌握整个操作,在数字通信实验软件平台的GUI运行后,要先在软件实验平台上点击“采样”,这样就可以提取信号,并将信号以文本格式保存在文件中,假如信号是数字就不需要这样的步骤。然后点击“输入码序列”,打开刚才的文本文件,可以看到数据就在相对应的位置,我们可以根据图4所显示的,对信道编码进行编码,选择最恰当的编码方式,图4就是从文件中读取输入码序列,然后表现编码结果,根据相对应的参数,点击“调制”,然后信号同样是以波形和功率谱的形式表现出来,图5就是演示此过程,调制方式为FSK。
  图4 数字信源及其CRC信道编码波形 图5 BFSK调制信号波形及功率谱
  然后对信号进行扩频,点击“扩频”按钮。输入一个信噪比值,点击“噪声”按钮,加入噪声。扩频以及加入噪声后的波形和频谱如图6所示(图中所加噪声,其信噪比为5dB)。再点击“解扩”按钮可从扩频信号中恢复原信号,然后点击“解调”按钮,对信号进行解调,然后译码得到有用信号,最后将其与输入信号进行比较。
  另外需要说明的是噪声虽然在信道中存在,但实际上是在接收端引入的,所以将引入噪声这一步归在接收模块。在做卷积码仿真时,由于所用方法译码时不能将原数据全部恢复,所以需要在编码时补零,这样译码得到的才是完整的初始码序列。这次设计的GUI只包含了通信的一些基本步骤,实际情况要复杂得多。比如实际信道中还包括衰减、延迟、多径、多普勒频移等很多问题,此外,在接收端还要考虑滤波器设计、均衡、同步等问题。
  参考文献
  [1]皮宇锋,郭杰荣.信息通信教学软件的开发设计[J].中国电力教育,2010,15:84-86.
  [2]自动化技术、计算机技术[J].中国无线电电子学文摘,2007,03:183-248.
  (作者单位:呼伦贝尔学院物理与电子信息学院)
  作者简介:丽娜(1980.7-),性别:女,籍贯:辽宁阜新,民族:达斡尔,职称:讲师,学历:研究生,工作单位:呼伦贝尔学院物理与电子信息学院,研究方向:电子信息。

本文来源:http://www.zhuodaoren.com/tuijian428664/

推荐访问:hdb3编码器设计 ami码和hdb3码
扩展阅读文章
热门阅读文章